mfd: convert DS1WM to use MFD core
authorPhilipp Zabel <philipp.zabel@gmail.com>
Tue, 17 Feb 2009 09:06:41 +0000 (10:06 +0100)
committerSamuel Ortiz <samuel@sortiz.org>
Sat, 4 Apr 2009 22:32:20 +0000 (00:32 +0200)
commita23a175795cdb202619ac176129b2f0c2a5c9456
treed3b03c863d501a107eaefffeb733179b19315327
parent3206450355100eae8e033645318b95bb60f1faff
mfd: convert DS1WM to use MFD core

This patch converts the DS1WM driver into an MFD cell. It also
calculates the bus_shift parameter from the memory resource size.

Signed-off-by: Philipp Zabel <philipp.zabel@gmail.com>
Signed-off-by: Samuel Ortiz <sameo@openedhand.com>
drivers/w1/masters/ds1wm.c
include/linux/ds1wm.h [deleted file]
include/linux/mfd/ds1wm.h [new file with mode: 0644]