Regulators: ab3100 - fix probe and remove annotations
authorDmitry Torokhov <dmitry.torokhov@gmail.com>
Wed, 24 Feb 2010 07:37:50 +0000 (23:37 -0800)
committerLiam Girdwood <lrg@slimlogic.co.uk>
Wed, 3 Mar 2010 14:49:27 +0000 (14:49 +0000)
commit98bf7c057b35eaf418ac063c4aba4f9f86220b09
tree2513879c8a4cb53267bb92e2be362ca244667bc1
parent4cf95663c829ddd47c76ba5b749e88864beef0a7
Regulators: ab3100 - fix probe and remove annotations

Probe and remove methods should not be marked as __init/__exit but
rather __devinit/__devexit so that the needed sections stay in memory
in presence of CONFIG_HOTPLUG. This is needed even on non hotpluggable
buses.

Signed-off-by: Dmitry Torokhov <dtor@mail.ru>
Acked-by: Mark Brown <broonie@opensource.wolfsonmicro.com>
Signed-off-by: Liam Girdwood <lrg@slimlogic.co.uk>
drivers/regulator/ab3100.c