include cleanup: Update gfp.h and slab.h includes to prepare for breaking implicit...
[safe/jmp/linux-2.6] / drivers / i2c / algos / i2c-algo-bit.c
1 /* -------------------------------------------------------------------------
2  * i2c-algo-bit.c i2c driver algorithms for bit-shift adapters
3  * -------------------------------------------------------------------------
4  *   Copyright (C) 1995-2000 Simon G. Vogl
5
6     This program is free software; you can redistribute it and/or modify
7     it under the terms of the GNU General Public License as published by
8     the Free Software Foundation; either version 2 of the License, or
9     (at your option) any later version.
10
11     This program is distributed in the hope that it will be useful,
12     but WITHOUT ANY WARRANTY; without even the implied warranty of
13     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14     GNU General Public License for more details.
15
16     You should have received a copy of the GNU General Public License
17     along with this program; if not, write to the Free Software
18     Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
19  * ------------------------------------------------------------------------- */
20
21 /* With some changes from Frodo Looijaard <frodol@dds.nl>, Kyösti Mälkki
22    <kmalkki@cc.hut.fi> and Jean Delvare <khali@linux-fr.org> */
23
24 #include <linux/kernel.h>
25 #include <linux/module.h>
26 #include <linux/delay.h>
27 #include <linux/init.h>
28 #include <linux/errno.h>
29 #include <linux/sched.h>
30 #include <linux/i2c.h>
31 #include <linux/i2c-algo-bit.h>
32
33
34 /* ----- global defines ----------------------------------------------- */
35
36 #ifdef DEBUG
37 #define bit_dbg(level, dev, format, args...) \
38         do { \
39                 if (i2c_debug >= level) \
40                         dev_dbg(dev, format, ##args); \
41         } while (0)
42 #else
43 #define bit_dbg(level, dev, format, args...) \
44         do {} while (0)
45 #endif /* DEBUG */
46
47 /* ----- global variables --------------------------------------------- */
48
49 static int bit_test;    /* see if the line-setting functions work       */
50 module_param(bit_test, bool, 0);
51 MODULE_PARM_DESC(bit_test, "Test the lines of the bus to see if it is stuck");
52
53 #ifdef DEBUG
54 static int i2c_debug = 1;
55 module_param(i2c_debug, int, S_IRUGO | S_IWUSR);
56 MODULE_PARM_DESC(i2c_debug,
57                  "debug level - 0 off; 1 normal; 2 verbose; 3 very verbose");
58 #endif
59
60 /* --- setting states on the bus with the right timing: --------------- */
61
62 #define setsda(adap, val)       adap->setsda(adap->data, val)
63 #define setscl(adap, val)       adap->setscl(adap->data, val)
64 #define getsda(adap)            adap->getsda(adap->data)
65 #define getscl(adap)            adap->getscl(adap->data)
66
67 static inline void sdalo(struct i2c_algo_bit_data *adap)
68 {
69         setsda(adap, 0);
70         udelay((adap->udelay + 1) / 2);
71 }
72
73 static inline void sdahi(struct i2c_algo_bit_data *adap)
74 {
75         setsda(adap, 1);
76         udelay((adap->udelay + 1) / 2);
77 }
78
79 static inline void scllo(struct i2c_algo_bit_data *adap)
80 {
81         setscl(adap, 0);
82         udelay(adap->udelay / 2);
83 }
84
85 /*
86  * Raise scl line, and do checking for delays. This is necessary for slower
87  * devices.
88  */
89 static int sclhi(struct i2c_algo_bit_data *adap)
90 {
91         unsigned long start;
92
93         setscl(adap, 1);
94
95         /* Not all adapters have scl sense line... */
96         if (!adap->getscl)
97                 goto done;
98
99         start = jiffies;
100         while (!getscl(adap)) {
101                 /* This hw knows how to read the clock line, so we wait
102                  * until it actually gets high.  This is safer as some
103                  * chips may hold it low ("clock stretching") while they
104                  * are processing data internally.
105                  */
106                 if (time_after(jiffies, start + adap->timeout))
107                         return -ETIMEDOUT;
108                 cond_resched();
109         }
110 #ifdef DEBUG
111         if (jiffies != start && i2c_debug >= 3)
112                 pr_debug("i2c-algo-bit: needed %ld jiffies for SCL to go "
113                          "high\n", jiffies - start);
114 #endif
115
116 done:
117         udelay(adap->udelay);
118         return 0;
119 }
120
121
122 /* --- other auxiliary functions -------------------------------------- */
123 static void i2c_start(struct i2c_algo_bit_data *adap)
124 {
125         /* assert: scl, sda are high */
126         setsda(adap, 0);
127         udelay(adap->udelay);
128         scllo(adap);
129 }
130
131 static void i2c_repstart(struct i2c_algo_bit_data *adap)
132 {
133         /* assert: scl is low */
134         sdahi(adap);
135         sclhi(adap);
136         setsda(adap, 0);
137         udelay(adap->udelay);
138         scllo(adap);
139 }
140
141
142 static void i2c_stop(struct i2c_algo_bit_data *adap)
143 {
144         /* assert: scl is low */
145         sdalo(adap);
146         sclhi(adap);
147         setsda(adap, 1);
148         udelay(adap->udelay);
149 }
150
151
152
153 /* send a byte without start cond., look for arbitration,
154    check ackn. from slave */
155 /* returns:
156  * 1 if the device acknowledged
157  * 0 if the device did not ack
158  * -ETIMEDOUT if an error occurred (while raising the scl line)
159  */
160 static int i2c_outb(struct i2c_adapter *i2c_adap, unsigned char c)
161 {
162         int i;
163         int sb;
164         int ack;
165         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
166
167         /* assert: scl is low */
168         for (i = 7; i >= 0; i--) {
169                 sb = (c >> i) & 1;
170                 setsda(adap, sb);
171                 udelay((adap->udelay + 1) / 2);
172                 if (sclhi(adap) < 0) { /* timed out */
173                         bit_dbg(1, &i2c_adap->dev, "i2c_outb: 0x%02x, "
174                                 "timeout at bit #%d\n", (int)c, i);
175                         return -ETIMEDOUT;
176                 }
177                 /* FIXME do arbitration here:
178                  * if (sb && !getsda(adap)) -> ouch! Get out of here.
179                  *
180                  * Report a unique code, so higher level code can retry
181                  * the whole (combined) message and *NOT* issue STOP.
182                  */
183                 scllo(adap);
184         }
185         sdahi(adap);
186         if (sclhi(adap) < 0) { /* timeout */
187                 bit_dbg(1, &i2c_adap->dev, "i2c_outb: 0x%02x, "
188                         "timeout at ack\n", (int)c);
189                 return -ETIMEDOUT;
190         }
191
192         /* read ack: SDA should be pulled down by slave, or it may
193          * NAK (usually to report problems with the data we wrote).
194          */
195         ack = !getsda(adap);    /* ack: sda is pulled low -> success */
196         bit_dbg(2, &i2c_adap->dev, "i2c_outb: 0x%02x %s\n", (int)c,
197                 ack ? "A" : "NA");
198
199         scllo(adap);
200         return ack;
201         /* assert: scl is low (sda undef) */
202 }
203
204
205 static int i2c_inb(struct i2c_adapter *i2c_adap)
206 {
207         /* read byte via i2c port, without start/stop sequence  */
208         /* acknowledge is sent in i2c_read.                     */
209         int i;
210         unsigned char indata = 0;
211         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
212
213         /* assert: scl is low */
214         sdahi(adap);
215         for (i = 0; i < 8; i++) {
216                 if (sclhi(adap) < 0) { /* timeout */
217                         bit_dbg(1, &i2c_adap->dev, "i2c_inb: timeout at bit "
218                                 "#%d\n", 7 - i);
219                         return -ETIMEDOUT;
220                 }
221                 indata *= 2;
222                 if (getsda(adap))
223                         indata |= 0x01;
224                 setscl(adap, 0);
225                 udelay(i == 7 ? adap->udelay / 2 : adap->udelay);
226         }
227         /* assert: scl is low */
228         return indata;
229 }
230
231 /*
232  * Sanity check for the adapter hardware - check the reaction of
233  * the bus lines only if it seems to be idle.
234  */
235 static int test_bus(struct i2c_algo_bit_data *adap, char *name)
236 {
237         int scl, sda;
238
239         if (adap->getscl == NULL)
240                 pr_info("%s: Testing SDA only, SCL is not readable\n", name);
241
242         sda = getsda(adap);
243         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
244         if (!scl || !sda) {
245                 printk(KERN_WARNING "%s: bus seems to be busy\n", name);
246                 goto bailout;
247         }
248
249         sdalo(adap);
250         sda = getsda(adap);
251         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
252         if (sda) {
253                 printk(KERN_WARNING "%s: SDA stuck high!\n", name);
254                 goto bailout;
255         }
256         if (!scl) {
257                 printk(KERN_WARNING "%s: SCL unexpected low "
258                        "while pulling SDA low!\n", name);
259                 goto bailout;
260         }
261
262         sdahi(adap);
263         sda = getsda(adap);
264         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
265         if (!sda) {
266                 printk(KERN_WARNING "%s: SDA stuck low!\n", name);
267                 goto bailout;
268         }
269         if (!scl) {
270                 printk(KERN_WARNING "%s: SCL unexpected low "
271                        "while pulling SDA high!\n", name);
272                 goto bailout;
273         }
274
275         scllo(adap);
276         sda = getsda(adap);
277         scl = (adap->getscl == NULL) ? 0 : getscl(adap);
278         if (scl) {
279                 printk(KERN_WARNING "%s: SCL stuck high!\n", name);
280                 goto bailout;
281         }
282         if (!sda) {
283                 printk(KERN_WARNING "%s: SDA unexpected low "
284                        "while pulling SCL low!\n", name);
285                 goto bailout;
286         }
287
288         sclhi(adap);
289         sda = getsda(adap);
290         scl = (adap->getscl == NULL) ? 1 : getscl(adap);
291         if (!scl) {
292                 printk(KERN_WARNING "%s: SCL stuck low!\n", name);
293                 goto bailout;
294         }
295         if (!sda) {
296                 printk(KERN_WARNING "%s: SDA unexpected low "
297                        "while pulling SCL high!\n", name);
298                 goto bailout;
299         }
300         pr_info("%s: Test OK\n", name);
301         return 0;
302 bailout:
303         sdahi(adap);
304         sclhi(adap);
305         return -ENODEV;
306 }
307
308 /* ----- Utility functions
309  */
310
311 /* try_address tries to contact a chip for a number of
312  * times before it gives up.
313  * return values:
314  * 1 chip answered
315  * 0 chip did not answer
316  * -x transmission error
317  */
318 static int try_address(struct i2c_adapter *i2c_adap,
319                        unsigned char addr, int retries)
320 {
321         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
322         int i, ret = 0;
323
324         for (i = 0; i <= retries; i++) {
325                 ret = i2c_outb(i2c_adap, addr);
326                 if (ret == 1 || i == retries)
327                         break;
328                 bit_dbg(3, &i2c_adap->dev, "emitting stop condition\n");
329                 i2c_stop(adap);
330                 udelay(adap->udelay);
331                 yield();
332                 bit_dbg(3, &i2c_adap->dev, "emitting start condition\n");
333                 i2c_start(adap);
334         }
335         if (i && ret)
336                 bit_dbg(1, &i2c_adap->dev, "Used %d tries to %s client at "
337                         "0x%02x: %s\n", i + 1,
338                         addr & 1 ? "read from" : "write to", addr >> 1,
339                         ret == 1 ? "success" : "failed, timeout?");
340         return ret;
341 }
342
343 static int sendbytes(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
344 {
345         const unsigned char *temp = msg->buf;
346         int count = msg->len;
347         unsigned short nak_ok = msg->flags & I2C_M_IGNORE_NAK;
348         int retval;
349         int wrcount = 0;
350
351         while (count > 0) {
352                 retval = i2c_outb(i2c_adap, *temp);
353
354                 /* OK/ACK; or ignored NAK */
355                 if ((retval > 0) || (nak_ok && (retval == 0))) {
356                         count--;
357                         temp++;
358                         wrcount++;
359
360                 /* A slave NAKing the master means the slave didn't like
361                  * something about the data it saw.  For example, maybe
362                  * the SMBus PEC was wrong.
363                  */
364                 } else if (retval == 0) {
365                         dev_err(&i2c_adap->dev, "sendbytes: NAK bailout.\n");
366                         return -EIO;
367
368                 /* Timeout; or (someday) lost arbitration
369                  *
370                  * FIXME Lost ARB implies retrying the transaction from
371                  * the first message, after the "winning" master issues
372                  * its STOP.  As a rule, upper layer code has no reason
373                  * to know or care about this ... it is *NOT* an error.
374                  */
375                 } else {
376                         dev_err(&i2c_adap->dev, "sendbytes: error %d\n",
377                                         retval);
378                         return retval;
379                 }
380         }
381         return wrcount;
382 }
383
384 static int acknak(struct i2c_adapter *i2c_adap, int is_ack)
385 {
386         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
387
388         /* assert: sda is high */
389         if (is_ack)             /* send ack */
390                 setsda(adap, 0);
391         udelay((adap->udelay + 1) / 2);
392         if (sclhi(adap) < 0) {  /* timeout */
393                 dev_err(&i2c_adap->dev, "readbytes: ack/nak timeout\n");
394                 return -ETIMEDOUT;
395         }
396         scllo(adap);
397         return 0;
398 }
399
400 static int readbytes(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
401 {
402         int inval;
403         int rdcount = 0;        /* counts bytes read */
404         unsigned char *temp = msg->buf;
405         int count = msg->len;
406         const unsigned flags = msg->flags;
407
408         while (count > 0) {
409                 inval = i2c_inb(i2c_adap);
410                 if (inval >= 0) {
411                         *temp = inval;
412                         rdcount++;
413                 } else {   /* read timed out */
414                         break;
415                 }
416
417                 temp++;
418                 count--;
419
420                 /* Some SMBus transactions require that we receive the
421                    transaction length as the first read byte. */
422                 if (rdcount == 1 && (flags & I2C_M_RECV_LEN)) {
423                         if (inval <= 0 || inval > I2C_SMBUS_BLOCK_MAX) {
424                                 if (!(flags & I2C_M_NO_RD_ACK))
425                                         acknak(i2c_adap, 0);
426                                 dev_err(&i2c_adap->dev, "readbytes: invalid "
427                                         "block length (%d)\n", inval);
428                                 return -EREMOTEIO;
429                         }
430                         /* The original count value accounts for the extra
431                            bytes, that is, either 1 for a regular transaction,
432                            or 2 for a PEC transaction. */
433                         count += inval;
434                         msg->len += inval;
435                 }
436
437                 bit_dbg(2, &i2c_adap->dev, "readbytes: 0x%02x %s\n",
438                         inval,
439                         (flags & I2C_M_NO_RD_ACK)
440                                 ? "(no ack/nak)"
441                                 : (count ? "A" : "NA"));
442
443                 if (!(flags & I2C_M_NO_RD_ACK)) {
444                         inval = acknak(i2c_adap, count);
445                         if (inval < 0)
446                                 return inval;
447                 }
448         }
449         return rdcount;
450 }
451
452 /* doAddress initiates the transfer by generating the start condition (in
453  * try_address) and transmits the address in the necessary format to handle
454  * reads, writes as well as 10bit-addresses.
455  * returns:
456  *  0 everything went okay, the chip ack'ed, or IGNORE_NAK flag was set
457  * -x an error occurred (like: -EREMOTEIO if the device did not answer, or
458  *      -ETIMEDOUT, for example if the lines are stuck...)
459  */
460 static int bit_doAddress(struct i2c_adapter *i2c_adap, struct i2c_msg *msg)
461 {
462         unsigned short flags = msg->flags;
463         unsigned short nak_ok = msg->flags & I2C_M_IGNORE_NAK;
464         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
465
466         unsigned char addr;
467         int ret, retries;
468
469         retries = nak_ok ? 0 : i2c_adap->retries;
470
471         if (flags & I2C_M_TEN) {
472                 /* a ten bit address */
473                 addr = 0xf0 | ((msg->addr >> 7) & 0x03);
474                 bit_dbg(2, &i2c_adap->dev, "addr0: %d\n", addr);
475                 /* try extended address code...*/
476                 ret = try_address(i2c_adap, addr, retries);
477                 if ((ret != 1) && !nak_ok)  {
478                         dev_err(&i2c_adap->dev,
479                                 "died at extended address code\n");
480                         return -EREMOTEIO;
481                 }
482                 /* the remaining 8 bit address */
483                 ret = i2c_outb(i2c_adap, msg->addr & 0x7f);
484                 if ((ret != 1) && !nak_ok) {
485                         /* the chip did not ack / xmission error occurred */
486                         dev_err(&i2c_adap->dev, "died at 2nd address code\n");
487                         return -EREMOTEIO;
488                 }
489                 if (flags & I2C_M_RD) {
490                         bit_dbg(3, &i2c_adap->dev, "emitting repeated "
491                                 "start condition\n");
492                         i2c_repstart(adap);
493                         /* okay, now switch into reading mode */
494                         addr |= 0x01;
495                         ret = try_address(i2c_adap, addr, retries);
496                         if ((ret != 1) && !nak_ok) {
497                                 dev_err(&i2c_adap->dev,
498                                         "died at repeated address code\n");
499                                 return -EREMOTEIO;
500                         }
501                 }
502         } else {                /* normal 7bit address  */
503                 addr = msg->addr << 1;
504                 if (flags & I2C_M_RD)
505                         addr |= 1;
506                 if (flags & I2C_M_REV_DIR_ADDR)
507                         addr ^= 1;
508                 ret = try_address(i2c_adap, addr, retries);
509                 if ((ret != 1) && !nak_ok)
510                         return -ENXIO;
511         }
512
513         return 0;
514 }
515
516 static int bit_xfer(struct i2c_adapter *i2c_adap,
517                     struct i2c_msg msgs[], int num)
518 {
519         struct i2c_msg *pmsg;
520         struct i2c_algo_bit_data *adap = i2c_adap->algo_data;
521         int i, ret;
522         unsigned short nak_ok;
523
524         if (adap->pre_xfer) {
525                 ret = adap->pre_xfer(i2c_adap);
526                 if (ret < 0)
527                         return ret;
528         }
529
530         bit_dbg(3, &i2c_adap->dev, "emitting start condition\n");
531         i2c_start(adap);
532         for (i = 0; i < num; i++) {
533                 pmsg = &msgs[i];
534                 nak_ok = pmsg->flags & I2C_M_IGNORE_NAK;
535                 if (!(pmsg->flags & I2C_M_NOSTART)) {
536                         if (i) {
537                                 bit_dbg(3, &i2c_adap->dev, "emitting "
538                                         "repeated start condition\n");
539                                 i2c_repstart(adap);
540                         }
541                         ret = bit_doAddress(i2c_adap, pmsg);
542                         if ((ret != 0) && !nak_ok) {
543                                 bit_dbg(1, &i2c_adap->dev, "NAK from "
544                                         "device addr 0x%02x msg #%d\n",
545                                         msgs[i].addr, i);
546                                 goto bailout;
547                         }
548                 }
549                 if (pmsg->flags & I2C_M_RD) {
550                         /* read bytes into buffer*/
551                         ret = readbytes(i2c_adap, pmsg);
552                         if (ret >= 1)
553                                 bit_dbg(2, &i2c_adap->dev, "read %d byte%s\n",
554                                         ret, ret == 1 ? "" : "s");
555                         if (ret < pmsg->len) {
556                                 if (ret >= 0)
557                                         ret = -EREMOTEIO;
558                                 goto bailout;
559                         }
560                 } else {
561                         /* write bytes from buffer */
562                         ret = sendbytes(i2c_adap, pmsg);
563                         if (ret >= 1)
564                                 bit_dbg(2, &i2c_adap->dev, "wrote %d byte%s\n",
565                                         ret, ret == 1 ? "" : "s");
566                         if (ret < pmsg->len) {
567                                 if (ret >= 0)
568                                         ret = -EREMOTEIO;
569                                 goto bailout;
570                         }
571                 }
572         }
573         ret = i;
574
575 bailout:
576         bit_dbg(3, &i2c_adap->dev, "emitting stop condition\n");
577         i2c_stop(adap);
578
579         if (adap->post_xfer)
580                 adap->post_xfer(i2c_adap);
581         return ret;
582 }
583
584 static u32 bit_func(struct i2c_adapter *adap)
585 {
586         return I2C_FUNC_I2C | I2C_FUNC_SMBUS_EMUL |
587                I2C_FUNC_SMBUS_READ_BLOCK_DATA |
588                I2C_FUNC_SMBUS_BLOCK_PROC_CALL |
589                I2C_FUNC_10BIT_ADDR | I2C_FUNC_PROTOCOL_MANGLING;
590 }
591
592
593 /* -----exported algorithm data: -------------------------------------  */
594
595 static const struct i2c_algorithm i2c_bit_algo = {
596         .master_xfer    = bit_xfer,
597         .functionality  = bit_func,
598 };
599
600 /*
601  * registering functions to load algorithms at runtime
602  */
603 static int i2c_bit_prepare_bus(struct i2c_adapter *adap)
604 {
605         struct i2c_algo_bit_data *bit_adap = adap->algo_data;
606
607         if (bit_test) {
608                 int ret = test_bus(bit_adap, adap->name);
609                 if (ret < 0)
610                         return -ENODEV;
611         }
612
613         /* register new adapter to i2c module... */
614         adap->algo = &i2c_bit_algo;
615         adap->retries = 3;
616
617         return 0;
618 }
619
620 int i2c_bit_add_bus(struct i2c_adapter *adap)
621 {
622         int err;
623
624         err = i2c_bit_prepare_bus(adap);
625         if (err)
626                 return err;
627
628         return i2c_add_adapter(adap);
629 }
630 EXPORT_SYMBOL(i2c_bit_add_bus);
631
632 int i2c_bit_add_numbered_bus(struct i2c_adapter *adap)
633 {
634         int err;
635
636         err = i2c_bit_prepare_bus(adap);
637         if (err)
638                 return err;
639
640         return i2c_add_numbered_adapter(adap);
641 }
642 EXPORT_SYMBOL(i2c_bit_add_numbered_bus);
643
644 MODULE_AUTHOR("Simon G. Vogl <simon@tk.uni-linz.ac.at>");
645 MODULE_DESCRIPTION("I2C-Bus bit-banging algorithm");
646 MODULE_LICENSE("GPL");