Generic HDLC - use random_ether_addr()