a07efa22551e3a4e0bf956531812e151b79b3b5b
[safe/jmp/linux-2.6] / drivers / net / wireless / ath / ath9k / rc.c
1 /*
2  * Copyright (c) 2004 Video54 Technologies, Inc.
3  * Copyright (c) 2004-2009 Atheros Communications, Inc.
4  *
5  * Permission to use, copy, modify, and/or distribute this software for any
6  * purpose with or without fee is hereby granted, provided that the above
7  * copyright notice and this permission notice appear in all copies.
8  *
9  * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
10  * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
11  * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
12  * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
13  * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
14  * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
15  * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
16  */
17
18 #include "ath9k.h"
19
20 static const struct ath_rate_table ar5416_11na_ratetable = {
21         42,
22         {
23                 { VALID, VALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
24                         5400, 0x0b, 0x00, 12,
25                         0, 0, 0, 0, 0, 0 },
26                 { VALID, VALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
27                         7800,  0x0f, 0x00, 18,
28                         0, 1, 1, 1, 1, 0 },
29                 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
30                         10000, 0x0a, 0x00, 24,
31                         2, 2, 2, 2, 2, 0 },
32                 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
33                         13900, 0x0e, 0x00, 36,
34                         2,  3, 3, 3, 3, 0 },
35                 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
36                         17300, 0x09, 0x00, 48,
37                         4,  4, 4, 4, 4, 0 },
38                 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
39                         23000, 0x0d, 0x00, 72,
40                         4,  5, 5, 5, 5, 0 },
41                 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
42                         27400, 0x08, 0x00, 96,
43                         4,  6, 6, 6, 6, 0 },
44                 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
45                         29300, 0x0c, 0x00, 108,
46                         4,  7, 7, 7, 7, 0 },
47                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 6500, /* 6.5 Mb */
48                         6400, 0x80, 0x00, 0,
49                         0, 8, 24, 8, 24, 3216 },
50                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 13000, /* 13 Mb */
51                         12700, 0x81, 0x00, 1,
52                         2, 9, 25, 9, 25, 6434 },
53                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 19500, /* 19.5 Mb */
54                         18800, 0x82, 0x00, 2,
55                         2, 10, 26, 10, 26, 9650 },
56                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 26000, /* 26 Mb */
57                         25000, 0x83, 0x00, 3,
58                         4,  11, 27, 11, 27, 12868 },
59                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 39000, /* 39 Mb */
60                         36700, 0x84, 0x00, 4,
61                         4,  12, 28, 12, 28, 19304 },
62                 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 52000, /* 52 Mb */
63                         48100, 0x85, 0x00, 5,
64                         4,  13, 29, 13, 29, 25740 },
65                 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 58500, /* 58.5 Mb */
66                         53500, 0x86, 0x00, 6,
67                         4,  14, 30, 14, 30,  28956 },
68                 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 65000, /* 65 Mb */
69                         59000, 0x87, 0x00, 7,
70                         4,  15, 31, 15, 32, 32180 },
71                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 13000, /* 13 Mb */
72                         12700, 0x88, 0x00,
73                         8, 3, 16, 33, 16, 33, 6430 },
74                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 26000, /* 26 Mb */
75                         24800, 0x89, 0x00, 9,
76                         2, 17, 34, 17, 34, 12860 },
77                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 39000, /* 39 Mb */
78                         36600, 0x8a, 0x00, 10,
79                         2, 18, 35, 18, 35, 19300 },
80                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 52000, /* 52 Mb */
81                         48100, 0x8b, 0x00, 11,
82                         4,  19, 36, 19, 36, 25736 },
83                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 78000, /* 78 Mb */
84                         69500, 0x8c, 0x00, 12,
85                         4,  20, 37, 20, 37, 38600 },
86                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 104000, /* 104 Mb */
87                         89500, 0x8d, 0x00, 13,
88                         4,  21, 38, 21, 38, 51472 },
89                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 117000, /* 117 Mb */
90                         98900, 0x8e, 0x00, 14,
91                         4,  22, 39, 22, 39, 57890 },
92                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 130000, /* 130 Mb */
93                         108300, 0x8f, 0x00, 15,
94                         4,  23, 40, 23, 41, 64320 },
95                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 13500, /* 13.5 Mb */
96                         13200, 0x80, 0x00, 0,
97                         0, 8, 24, 24, 24, 6684 },
98                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 27500, /* 27.0 Mb */
99                         25900, 0x81, 0x00, 1,
100                         2, 9, 25, 25, 25, 13368 },
101                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 40500, /* 40.5 Mb */
102                         38600, 0x82, 0x00, 2,
103                         2, 10, 26, 26, 26, 20052 },
104                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 54000, /* 54 Mb */
105                         49800, 0x83, 0x00, 3,
106                         4,  11, 27, 27, 27, 26738 },
107                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 81500, /* 81 Mb */
108                         72200, 0x84, 0x00, 4,
109                         4,  12, 28, 28, 28, 40104 },
110                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 108000, /* 108 Mb */
111                         92900, 0x85, 0x00, 5,
112                         4,  13, 29, 29, 29, 53476 },
113                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 121500, /* 121.5 Mb */
114                         102700, 0x86, 0x00, 6,
115                         4,  14, 30, 30, 30, 60156 },
116                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 135000, /* 135 Mb */
117                         112000, 0x87, 0x00, 7,
118                         4,  15, 31, 32, 32, 66840 },
119                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS_HGI, 150000, /* 150 Mb */
120                         122000, 0x87, 0x00, 7,
121                         4,  15, 31, 32, 32, 74200 },
122                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 27000, /* 27 Mb */
123                         25800, 0x88, 0x00, 8,
124                         0, 16, 33, 33, 33, 13360 },
125                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 54000, /* 54 Mb */
126                         49800, 0x89, 0x00, 9,
127                         2, 17, 34, 34, 34, 26720 },
128                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 81000, /* 81 Mb */
129                         71900, 0x8a, 0x00, 10,
130                         2, 18, 35, 35, 35, 40080 },
131                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 108000, /* 108 Mb */
132                         92500, 0x8b, 0x00, 11,
133                         4,  19, 36, 36, 36, 53440 },
134                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 162000, /* 162 Mb */
135                         130300, 0x8c, 0x00, 12,
136                         4,  20, 37, 37, 37, 80160 },
137                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 216000, /* 216 Mb */
138                         162800, 0x8d, 0x00, 13,
139                         4,  21, 38, 38, 38, 106880 },
140                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 243000, /* 243 Mb */
141                         178200, 0x8e, 0x00, 14,
142                         4,  22, 39, 39, 39, 120240 },
143                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 270000, /* 270 Mb */
144                         192100, 0x8f, 0x00, 15,
145                         4,  23, 40, 41, 41, 133600 },
146                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS_HGI, 300000, /* 300 Mb */
147                         207000, 0x8f, 0x00, 15,
148                         4,  23, 40, 41, 41, 148400 },
149         },
150         50,  /* probe interval */
151         WLAN_RC_HT_FLAG,  /* Phy rates allowed initially */
152 };
153
154 /* 4ms frame limit not used for NG mode.  The values filled
155  * for HT are the 64K max aggregate limit */
156
157 static const struct ath_rate_table ar5416_11ng_ratetable = {
158         46,
159         {
160                 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 1000, /* 1 Mb */
161                         900, 0x1b, 0x00, 2,
162                         0, 0, 0, 0, 0, 0 },
163                 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 2000, /* 2 Mb */
164                         1900, 0x1a, 0x04, 4,
165                         1, 1, 1, 1, 1, 0 },
166                 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 5500, /* 5.5 Mb */
167                         4900, 0x19, 0x04, 11,
168                         2, 2, 2, 2, 2, 0 },
169                 { VALID_ALL, VALID_ALL, WLAN_RC_PHY_CCK, 11000, /* 11 Mb */
170                         8100, 0x18, 0x04, 22,
171                         3, 3, 3, 3, 3, 0 },
172                 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
173                         5400, 0x0b, 0x00, 12,
174                         4, 4, 4, 4, 4, 0 },
175                 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
176                         7800, 0x0f, 0x00, 18,
177                         4, 5, 5, 5, 5, 0 },
178                 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
179                         10100, 0x0a, 0x00, 24,
180                         6, 6, 6, 6, 6, 0 },
181                 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
182                         14100,  0x0e, 0x00, 36,
183                         6, 7, 7, 7, 7, 0 },
184                 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
185                         17700, 0x09, 0x00, 48,
186                         8,  8, 8, 8, 8, 0 },
187                 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
188                         23700, 0x0d, 0x00, 72,
189                         8,  9, 9, 9, 9, 0 },
190                 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
191                         27400, 0x08, 0x00, 96,
192                         8,  10, 10, 10, 10, 0 },
193                 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
194                         30900, 0x0c, 0x00, 108,
195                         8,  11, 11, 11, 11, 0 },
196                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_SS, 6500, /* 6.5 Mb */
197                         6400, 0x80, 0x00, 0,
198                         4, 12, 28, 12, 28, 3216 },
199                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 13000, /* 13 Mb */
200                         12700, 0x81, 0x00, 1,
201                         6, 13, 29, 13, 29, 6434 },
202                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 19500, /* 19.5 Mb */
203                         18800, 0x82, 0x00, 2,
204                         6, 14, 30, 14, 30, 9650 },
205                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 26000, /* 26 Mb */
206                         25000, 0x83, 0x00, 3,
207                         8,  15, 31, 15, 31, 12868 },
208                 { VALID_20, VALID_20, WLAN_RC_PHY_HT_20_SS, 39000, /* 39 Mb */
209                         36700, 0x84, 0x00, 4,
210                         8,  16, 32, 16, 32, 19304 },
211                 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 52000, /* 52 Mb */
212                         48100, 0x85, 0x00, 5,
213                         8,  17, 33, 17, 33, 25740 },
214                 { INVALID,  VALID_20, WLAN_RC_PHY_HT_20_SS, 58500, /* 58.5 Mb */
215                         53500, 0x86, 0x00, 6,
216                         8,  18, 34, 18, 34, 28956 },
217                 { INVALID, VALID_20, WLAN_RC_PHY_HT_20_SS, 65000, /* 65 Mb */
218                         59000, 0x87, 0x00, 7,
219                         8,  19, 35, 19, 36, 32180 },
220                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 13000, /* 13 Mb */
221                         12700, 0x88, 0x00, 8,
222                         4, 20, 37, 20, 37, 6430 },
223                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 26000, /* 26 Mb */
224                         24800, 0x89, 0x00, 9,
225                         6, 21, 38, 21, 38, 12860 },
226                 { INVALID, INVALID, WLAN_RC_PHY_HT_20_DS, 39000, /* 39 Mb */
227                         36600, 0x8a, 0x00, 10,
228                         6, 22, 39, 22, 39, 19300 },
229                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 52000, /* 52 Mb */
230                         48100, 0x8b, 0x00, 11,
231                         8,  23, 40, 23, 40, 25736 },
232                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 78000, /* 78 Mb */
233                         69500, 0x8c, 0x00, 12,
234                         8,  24, 41, 24, 41, 38600 },
235                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 104000, /* 104 Mb */
236                         89500, 0x8d, 0x00, 13,
237                         8,  25, 42, 25, 42, 51472 },
238                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 117000, /* 117 Mb */
239                         98900, 0x8e, 0x00, 14,
240                         8,  26, 43, 26, 44, 57890 },
241                 { VALID_20, INVALID, WLAN_RC_PHY_HT_20_DS, 130000, /* 130 Mb */
242                         108300, 0x8f, 0x00, 15,
243                         8,  27, 44, 27, 45, 64320 },
244                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 13500, /* 13.5 Mb */
245                         13200, 0x80, 0x00, 0,
246                         8, 12, 28, 28, 28, 6684 },
247                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 27500, /* 27.0 Mb */
248                         25900, 0x81, 0x00, 1,
249                         8, 13, 29, 29, 29, 13368 },
250                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 40500, /* 40.5 Mb */
251                         38600, 0x82, 0x00, 2,
252                         8, 14, 30, 30, 30, 20052 },
253                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 54000, /* 54 Mb */
254                         49800, 0x83, 0x00, 3,
255                         8,  15, 31, 31, 31, 26738 },
256                 { VALID_40, VALID_40, WLAN_RC_PHY_HT_40_SS, 81500, /* 81 Mb */
257                         72200, 0x84, 0x00, 4,
258                         8,  16, 32, 32, 32, 40104 },
259                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 108000, /* 108 Mb */
260                         92900, 0x85, 0x00, 5,
261                         8,  17, 33, 33, 33, 53476 },
262                 { INVALID,  VALID_40, WLAN_RC_PHY_HT_40_SS, 121500, /* 121.5 Mb */
263                         102700, 0x86, 0x00, 6,
264                         8,  18, 34, 34, 34, 60156 },
265                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS, 135000, /* 135 Mb */
266                         112000, 0x87, 0x00, 7,
267                         8,  19, 35, 36, 36, 66840 },
268                 { INVALID, VALID_40, WLAN_RC_PHY_HT_40_SS_HGI, 150000, /* 150 Mb */
269                         122000, 0x87, 0x00, 7,
270                         8,  19, 35, 36, 36, 74200 },
271                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 27000, /* 27 Mb */
272                         25800, 0x88, 0x00, 8,
273                         8, 20, 37, 37, 37, 13360 },
274                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 54000, /* 54 Mb */
275                         49800, 0x89, 0x00, 9,
276                         8, 21, 38, 38, 38, 26720 },
277                 { INVALID, INVALID, WLAN_RC_PHY_HT_40_DS, 81000, /* 81 Mb */
278                         71900, 0x8a, 0x00, 10,
279                         8, 22, 39, 39, 39, 40080 },
280                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 108000, /* 108 Mb */
281                         92500, 0x8b, 0x00, 11,
282                         8,  23, 40, 40, 40, 53440 },
283                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 162000, /* 162 Mb */
284                         130300, 0x8c, 0x00, 12,
285                         8,  24, 41, 41, 41, 80160 },
286                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 216000, /* 216 Mb */
287                         162800, 0x8d, 0x00, 13,
288                         8,  25, 42, 42, 42, 106880 },
289                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 243000, /* 243 Mb */
290                         178200, 0x8e, 0x00, 14,
291                         8,  26, 43, 43, 43, 120240 },
292                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS, 270000, /* 270 Mb */
293                         192100, 0x8f, 0x00, 15,
294                         8,  27, 44, 45, 45, 133600 },
295                 { VALID_40, INVALID, WLAN_RC_PHY_HT_40_DS_HGI, 300000, /* 300 Mb */
296                         207000, 0x8f, 0x00, 15,
297                         8,  27, 44, 45, 45, 148400 },
298                 },
299         50,  /* probe interval */
300         WLAN_RC_HT_FLAG,  /* Phy rates allowed initially */
301 };
302
303 static const struct ath_rate_table ar5416_11a_ratetable = {
304         8,
305         {
306                 { VALID, VALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
307                         5400, 0x0b, 0x00, (0x80|12),
308                         0, 0, 0 },
309                 { VALID, VALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
310                         7800, 0x0f, 0x00, 18,
311                         0, 1, 0 },
312                 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
313                         10000, 0x0a, 0x00, (0x80|24),
314                         2, 2, 0 },
315                 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
316                         13900, 0x0e, 0x00, 36,
317                         2, 3, 0 },
318                 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
319                         17300, 0x09, 0x00, (0x80|48),
320                         4,  4, 0 },
321                 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
322                         23000, 0x0d, 0x00, 72,
323                         4,  5, 0 },
324                 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
325                         27400, 0x08, 0x00, 96,
326                         4,  6, 0 },
327                 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
328                         29300, 0x0c, 0x00, 108,
329                         4,  7, 0 },
330         },
331         50,  /* probe interval */
332         0,   /* Phy rates allowed initially */
333 };
334
335 static const struct ath_rate_table ar5416_11g_ratetable = {
336         12,
337         {
338                 { VALID, VALID, WLAN_RC_PHY_CCK, 1000, /* 1 Mb */
339                         900, 0x1b, 0x00, 2,
340                         0, 0, 0 },
341                 { VALID, VALID, WLAN_RC_PHY_CCK, 2000, /* 2 Mb */
342                         1900, 0x1a, 0x04, 4,
343                         1, 1, 0 },
344                 { VALID, VALID, WLAN_RC_PHY_CCK, 5500, /* 5.5 Mb */
345                         4900, 0x19, 0x04, 11,
346                         2, 2, 0 },
347                 { VALID, VALID, WLAN_RC_PHY_CCK, 11000, /* 11 Mb */
348                         8100, 0x18, 0x04, 22,
349                         3, 3, 0 },
350                 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 6000, /* 6 Mb */
351                         5400, 0x0b, 0x00, 12,
352                         4, 4, 0 },
353                 { INVALID, INVALID, WLAN_RC_PHY_OFDM, 9000, /* 9 Mb */
354                         7800, 0x0f, 0x00, 18,
355                         4, 5, 0 },
356                 { VALID, VALID, WLAN_RC_PHY_OFDM, 12000, /* 12 Mb */
357                         10000, 0x0a, 0x00, 24,
358                         6, 6, 0 },
359                 { VALID, VALID, WLAN_RC_PHY_OFDM, 18000, /* 18 Mb */
360                         13900, 0x0e, 0x00, 36,
361                         6, 7, 0 },
362                 { VALID, VALID, WLAN_RC_PHY_OFDM, 24000, /* 24 Mb */
363                         17300, 0x09, 0x00, 48,
364                         8,  8, 0 },
365                 { VALID, VALID, WLAN_RC_PHY_OFDM, 36000, /* 36 Mb */
366                         23000, 0x0d, 0x00, 72,
367                         8,  9, 0 },
368                 { VALID, VALID, WLAN_RC_PHY_OFDM, 48000, /* 48 Mb */
369                         27400, 0x08, 0x00, 96,
370                         8,  10, 0 },
371                 { VALID, VALID, WLAN_RC_PHY_OFDM, 54000, /* 54 Mb */
372                         29300, 0x0c, 0x00, 108,
373                         8,  11, 0 },
374         },
375         50,  /* probe interval */
376         0,   /* Phy rates allowed initially */
377 };
378
379 static inline int8_t median(int8_t a, int8_t b, int8_t c)
380 {
381         if (a >= b) {
382                 if (b >= c)
383                         return b;
384                 else if (a > c)
385                         return c;
386                 else
387                         return a;
388         } else {
389                 if (a >= c)
390                         return a;
391                 else if (b >= c)
392                         return c;
393                 else
394                         return b;
395         }
396 }
397
398 static void ath_rc_sort_validrates(const struct ath_rate_table *rate_table,
399                                    struct ath_rate_priv *ath_rc_priv)
400 {
401         u8 i, j, idx, idx_next;
402
403         for (i = ath_rc_priv->max_valid_rate - 1; i > 0; i--) {
404                 for (j = 0; j <= i-1; j++) {
405                         idx = ath_rc_priv->valid_rate_index[j];
406                         idx_next = ath_rc_priv->valid_rate_index[j+1];
407
408                         if (rate_table->info[idx].ratekbps >
409                                 rate_table->info[idx_next].ratekbps) {
410                                 ath_rc_priv->valid_rate_index[j] = idx_next;
411                                 ath_rc_priv->valid_rate_index[j+1] = idx;
412                         }
413                 }
414         }
415 }
416
417 static void ath_rc_init_valid_txmask(struct ath_rate_priv *ath_rc_priv)
418 {
419         u8 i;
420
421         for (i = 0; i < ath_rc_priv->rate_table_size; i++)
422                 ath_rc_priv->valid_rate_index[i] = 0;
423 }
424
425 static inline void ath_rc_set_valid_txmask(struct ath_rate_priv *ath_rc_priv,
426                                            u8 index, int valid_tx_rate)
427 {
428         ASSERT(index <= ath_rc_priv->rate_table_size);
429         ath_rc_priv->valid_rate_index[index] = valid_tx_rate ? 1 : 0;
430 }
431
432 static inline
433 int ath_rc_get_nextvalid_txrate(const struct ath_rate_table *rate_table,
434                                 struct ath_rate_priv *ath_rc_priv,
435                                 u8 cur_valid_txrate,
436                                 u8 *next_idx)
437 {
438         u8 i;
439
440         for (i = 0; i < ath_rc_priv->max_valid_rate - 1; i++) {
441                 if (ath_rc_priv->valid_rate_index[i] == cur_valid_txrate) {
442                         *next_idx = ath_rc_priv->valid_rate_index[i+1];
443                         return 1;
444                 }
445         }
446
447         /* No more valid rates */
448         *next_idx = 0;
449
450         return 0;
451 }
452
453 /* Return true only for single stream */
454
455 static int ath_rc_valid_phyrate(u32 phy, u32 capflag, int ignore_cw)
456 {
457         if (WLAN_RC_PHY_HT(phy) && !(capflag & WLAN_RC_HT_FLAG))
458                 return 0;
459         if (WLAN_RC_PHY_DS(phy) && !(capflag & WLAN_RC_DS_FLAG))
460                 return 0;
461         if (WLAN_RC_PHY_SGI(phy) && !(capflag & WLAN_RC_SGI_FLAG))
462                 return 0;
463         if (!ignore_cw && WLAN_RC_PHY_HT(phy))
464                 if (WLAN_RC_PHY_40(phy) && !(capflag & WLAN_RC_40_FLAG))
465                         return 0;
466                 if (!WLAN_RC_PHY_40(phy) && (capflag & WLAN_RC_40_FLAG))
467                         return 0;
468         return 1;
469 }
470
471 static inline int
472 ath_rc_get_lower_rix(const struct ath_rate_table *rate_table,
473                      struct ath_rate_priv *ath_rc_priv,
474                      u8 cur_valid_txrate, u8 *next_idx)
475 {
476         int8_t i;
477
478         for (i = 1; i < ath_rc_priv->max_valid_rate ; i++) {
479                 if (ath_rc_priv->valid_rate_index[i] == cur_valid_txrate) {
480                         *next_idx = ath_rc_priv->valid_rate_index[i-1];
481                         return 1;
482                 }
483         }
484
485         return 0;
486 }
487
488 static u8 ath_rc_init_validrates(struct ath_rate_priv *ath_rc_priv,
489                                  const struct ath_rate_table *rate_table,
490                                  u32 capflag)
491 {
492         u8 i, hi = 0;
493         u32 valid;
494
495         for (i = 0; i < rate_table->rate_cnt; i++) {
496                 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
497                          rate_table->info[i].valid_single_stream :
498                          rate_table->info[i].valid);
499                 if (valid == 1) {
500                         u32 phy = rate_table->info[i].phy;
501                         u8 valid_rate_count = 0;
502
503                         if (!ath_rc_valid_phyrate(phy, capflag, 0))
504                                 continue;
505
506                         valid_rate_count = ath_rc_priv->valid_phy_ratecnt[phy];
507
508                         ath_rc_priv->valid_phy_rateidx[phy][valid_rate_count] = i;
509                         ath_rc_priv->valid_phy_ratecnt[phy] += 1;
510                         ath_rc_set_valid_txmask(ath_rc_priv, i, 1);
511                         hi = A_MAX(hi, i);
512                 }
513         }
514
515         return hi;
516 }
517
518 static u8 ath_rc_setvalid_rates(struct ath_rate_priv *ath_rc_priv,
519                                 const struct ath_rate_table *rate_table,
520                                 struct ath_rateset *rateset,
521                                 u32 capflag)
522 {
523         u8 i, j, hi = 0;
524
525         /* Use intersection of working rates and valid rates */
526         for (i = 0; i < rateset->rs_nrates; i++) {
527                 for (j = 0; j < rate_table->rate_cnt; j++) {
528                         u32 phy = rate_table->info[j].phy;
529                         u32 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
530                                      rate_table->info[j].valid_single_stream :
531                                      rate_table->info[j].valid);
532                         u8 rate = rateset->rs_rates[i];
533                         u8 dot11rate = rate_table->info[j].dot11rate;
534
535                         /* We allow a rate only if its valid and the
536                          * capflag matches one of the validity
537                          * (VALID/VALID_20/VALID_40) flags */
538
539                         if (((rate & 0x7F) == (dot11rate & 0x7F)) &&
540                             ((valid & WLAN_RC_CAP_MODE(capflag)) ==
541                              WLAN_RC_CAP_MODE(capflag)) &&
542                             !WLAN_RC_PHY_HT(phy)) {
543                                 u8 valid_rate_count = 0;
544
545                                 if (!ath_rc_valid_phyrate(phy, capflag, 0))
546                                         continue;
547
548                                 valid_rate_count =
549                                         ath_rc_priv->valid_phy_ratecnt[phy];
550
551                                 ath_rc_priv->valid_phy_rateidx[phy]
552                                         [valid_rate_count] = j;
553                                 ath_rc_priv->valid_phy_ratecnt[phy] += 1;
554                                 ath_rc_set_valid_txmask(ath_rc_priv, j, 1);
555                                 hi = A_MAX(hi, j);
556                         }
557                 }
558         }
559
560         return hi;
561 }
562
563 static u8 ath_rc_setvalid_htrates(struct ath_rate_priv *ath_rc_priv,
564                                   const struct ath_rate_table *rate_table,
565                                   u8 *mcs_set, u32 capflag)
566 {
567         struct ath_rateset *rateset = (struct ath_rateset *)mcs_set;
568
569         u8 i, j, hi = 0;
570
571         /* Use intersection of working rates and valid rates */
572         for (i = 0; i < rateset->rs_nrates; i++) {
573                 for (j = 0; j < rate_table->rate_cnt; j++) {
574                         u32 phy = rate_table->info[j].phy;
575                         u32 valid = (!(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG) ?
576                                      rate_table->info[j].valid_single_stream :
577                                      rate_table->info[j].valid);
578                         u8 rate = rateset->rs_rates[i];
579                         u8 dot11rate = rate_table->info[j].dot11rate;
580
581                         if (((rate & 0x7F) != (dot11rate & 0x7F)) ||
582                             !WLAN_RC_PHY_HT(phy) ||
583                             !WLAN_RC_PHY_HT_VALID(valid, capflag))
584                                 continue;
585
586                         if (!ath_rc_valid_phyrate(phy, capflag, 0))
587                                 continue;
588
589                         ath_rc_priv->valid_phy_rateidx[phy]
590                                 [ath_rc_priv->valid_phy_ratecnt[phy]] = j;
591                         ath_rc_priv->valid_phy_ratecnt[phy] += 1;
592                         ath_rc_set_valid_txmask(ath_rc_priv, j, 1);
593                         hi = A_MAX(hi, j);
594                 }
595         }
596
597         return hi;
598 }
599
600 /* Finds the highest rate index we can use */
601 static u8 ath_rc_get_highest_rix(struct ath_softc *sc,
602                                  struct ath_rate_priv *ath_rc_priv,
603                                  const struct ath_rate_table *rate_table,
604                                  int *is_probing)
605 {
606         u32 best_thruput, this_thruput, now_msec;
607         u8 rate, next_rate, best_rate, maxindex, minindex;
608         int8_t index = 0;
609
610         now_msec = jiffies_to_msecs(jiffies);
611         *is_probing = 0;
612         best_thruput = 0;
613         maxindex = ath_rc_priv->max_valid_rate-1;
614         minindex = 0;
615         best_rate = minindex;
616
617         /*
618          * Try the higher rate first. It will reduce memory moving time
619          * if we have very good channel characteristics.
620          */
621         for (index = maxindex; index >= minindex ; index--) {
622                 u8 per_thres;
623
624                 rate = ath_rc_priv->valid_rate_index[index];
625                 if (rate > ath_rc_priv->rate_max_phy)
626                         continue;
627
628                 /*
629                  * For TCP the average collision rate is around 11%,
630                  * so we ignore PERs less than this.  This is to
631                  * prevent the rate we are currently using (whose
632                  * PER might be in the 10-15 range because of TCP
633                  * collisions) looking worse than the next lower
634                  * rate whose PER has decayed close to 0.  If we
635                  * used to next lower rate, its PER would grow to
636                  * 10-15 and we would be worse off then staying
637                  * at the current rate.
638                  */
639                 per_thres = ath_rc_priv->per[rate];
640                 if (per_thres < 12)
641                         per_thres = 12;
642
643                 this_thruput = rate_table->info[rate].user_ratekbps *
644                         (100 - per_thres);
645
646                 if (best_thruput <= this_thruput) {
647                         best_thruput = this_thruput;
648                         best_rate    = rate;
649                 }
650         }
651
652         rate = best_rate;
653
654         /*
655          * Must check the actual rate (ratekbps) to account for
656          * non-monoticity of 11g's rate table
657          */
658
659         if (rate >= ath_rc_priv->rate_max_phy) {
660                 rate = ath_rc_priv->rate_max_phy;
661
662                 /* Probe the next allowed phy state */
663                 if (ath_rc_get_nextvalid_txrate(rate_table,
664                                         ath_rc_priv, rate, &next_rate) &&
665                     (now_msec - ath_rc_priv->probe_time >
666                      rate_table->probe_interval) &&
667                     (ath_rc_priv->hw_maxretry_pktcnt >= 1)) {
668                         rate = next_rate;
669                         ath_rc_priv->probe_rate = rate;
670                         ath_rc_priv->probe_time = now_msec;
671                         ath_rc_priv->hw_maxretry_pktcnt = 0;
672                         *is_probing = 1;
673                 }
674         }
675
676         if (rate > (ath_rc_priv->rate_table_size - 1))
677                 rate = ath_rc_priv->rate_table_size - 1;
678
679         if (rate_table->info[rate].valid &&
680             (ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG))
681                 return rate;
682
683         if (rate_table->info[rate].valid_single_stream &&
684             !(ath_rc_priv->ht_cap & WLAN_RC_DS_FLAG));
685                 return rate;
686
687         /* This should not happen */
688         WARN_ON(1);
689
690         rate = ath_rc_priv->valid_rate_index[0];
691
692         return rate;
693 }
694
695 static void ath_rc_rate_set_series(const struct ath_rate_table *rate_table,
696                                    struct ieee80211_tx_rate *rate,
697                                    struct ieee80211_tx_rate_control *txrc,
698                                    u8 tries, u8 rix, int rtsctsenable)
699 {
700         rate->count = tries;
701         rate->idx = rix;
702
703         if (txrc->short_preamble)
704                 rate->flags |= IEEE80211_TX_RC_USE_SHORT_PREAMBLE;
705         if (txrc->rts || rtsctsenable)
706                 rate->flags |= IEEE80211_TX_RC_USE_RTS_CTS;
707         if (WLAN_RC_PHY_40(rate_table->info[rix].phy))
708                 rate->flags |= IEEE80211_TX_RC_40_MHZ_WIDTH;
709         if (WLAN_RC_PHY_SGI(rate_table->info[rix].phy))
710                 rate->flags |= IEEE80211_TX_RC_SHORT_GI;
711         if (WLAN_RC_PHY_HT(rate_table->info[rix].phy))
712                 rate->flags |= IEEE80211_TX_RC_MCS;
713 }
714
715 static void ath_rc_rate_set_rtscts(struct ath_softc *sc,
716                                    const struct ath_rate_table *rate_table,
717                                    struct ieee80211_tx_info *tx_info)
718 {
719         struct ieee80211_tx_rate *rates = tx_info->control.rates;
720         int i = 0, rix = 0, cix, enable_g_protection = 0;
721
722         /* get the cix for the lowest valid rix */
723         for (i = 3; i >= 0; i--) {
724                 if (rates[i].count && (rates[i].idx >= 0)) {
725                         rix = rates[i].idx;
726                         break;
727                 }
728         }
729         cix = rate_table->info[rix].ctrl_rate;
730
731         /* All protection frames are transmited at 2Mb/s for 802.11g,
732          * otherwise we transmit them at 1Mb/s */
733         if (sc->hw->conf.channel->band == IEEE80211_BAND_2GHZ &&
734             !conf_is_ht(&sc->hw->conf))
735                 enable_g_protection = 1;
736
737         /*
738          * If 802.11g protection is enabled, determine whether to use RTS/CTS or
739          * just CTS.  Note that this is only done for OFDM/HT unicast frames.
740          */
741         if ((sc->sc_flags & SC_OP_PROTECT_ENABLE) &&
742             (rate_table->info[rix].phy == WLAN_RC_PHY_OFDM ||
743              WLAN_RC_PHY_HT(rate_table->info[rix].phy))) {
744                 rates[0].flags |= IEEE80211_TX_RC_USE_CTS_PROTECT;
745                 cix = rate_table->info[enable_g_protection].ctrl_rate;
746         }
747
748         tx_info->control.rts_cts_rate_idx = cix;
749 }
750
751 static void ath_get_rate(void *priv, struct ieee80211_sta *sta, void *priv_sta,
752                          struct ieee80211_tx_rate_control *txrc)
753 {
754         struct ath_softc *sc = priv;
755         struct ath_rate_priv *ath_rc_priv = priv_sta;
756         const struct ath_rate_table *rate_table;
757         struct sk_buff *skb = txrc->skb;
758         struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
759         struct ieee80211_tx_rate *rates = tx_info->control.rates;
760         struct ieee80211_hdr *hdr = (struct ieee80211_hdr *)skb->data;
761         __le16 fc = hdr->frame_control;
762         u8 try_per_rate, i = 0, rix, nrix;
763         int is_probe = 0;
764
765         if (rate_control_send_low(sta, priv_sta, txrc))
766                 return;
767
768         /*
769          * For Multi Rate Retry we use a different number of
770          * retry attempt counts. This ends up looking like this:
771          *
772          * MRR[0] = 2
773          * MRR[1] = 2
774          * MRR[2] = 2
775          * MRR[3] = 4
776          *
777          */
778         try_per_rate = sc->hw->max_rate_tries;
779
780         rate_table = sc->cur_rate_table;
781         rix = ath_rc_get_highest_rix(sc, ath_rc_priv, rate_table, &is_probe);
782         nrix = rix;
783
784         if (is_probe) {
785                 /* set one try for probe rates. For the
786                  * probes don't enable rts */
787                 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
788                                        1, nrix, 0);
789
790                 /* Get the next tried/allowed rate. No RTS for the next series
791                  * after the probe rate
792                  */
793                 ath_rc_get_lower_rix(rate_table, ath_rc_priv, rix, &nrix);
794                 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
795                                        try_per_rate, nrix, 0);
796
797                 tx_info->flags |= IEEE80211_TX_CTL_RATE_CTRL_PROBE;
798         } else {
799                 /* Set the choosen rate. No RTS for first series entry. */
800                 ath_rc_rate_set_series(rate_table, &rates[i++], txrc,
801                                        try_per_rate, nrix, 0);
802         }
803
804         /* Fill in the other rates for multirate retry */
805         for ( ; i < 4; i++) {
806                 /* Use twice the number of tries for the last MRR segment. */
807                 if (i + 1 == 4)
808                         try_per_rate = 4;
809
810                 ath_rc_get_lower_rix(rate_table, ath_rc_priv, rix, &nrix);
811                 /* All other rates in the series have RTS enabled */
812                 ath_rc_rate_set_series(rate_table, &rates[i], txrc,
813                                        try_per_rate, nrix, 1);
814         }
815
816         /*
817          * NB:Change rate series to enable aggregation when operating
818          * at lower MCS rates. When first rate in series is MCS2
819          * in HT40 @ 2.4GHz, series should look like:
820          *
821          * {MCS2, MCS1, MCS0, MCS0}.
822          *
823          * When first rate in series is MCS3 in HT20 @ 2.4GHz, series should
824          * look like:
825          *
826          * {MCS3, MCS2, MCS1, MCS1}
827          *
828          * So, set fourth rate in series to be same as third one for
829          * above conditions.
830          */
831         if ((sc->hw->conf.channel->band == IEEE80211_BAND_2GHZ) &&
832             (conf_is_ht(&sc->hw->conf))) {
833                 u8 dot11rate = rate_table->info[rix].dot11rate;
834                 u8 phy = rate_table->info[rix].phy;
835                 if (i == 4 &&
836                     ((dot11rate == 2 && phy == WLAN_RC_PHY_HT_40_SS) ||
837                      (dot11rate == 3 && phy == WLAN_RC_PHY_HT_20_SS))) {
838                         rates[3].idx = rates[2].idx;
839                         rates[3].flags = rates[2].flags;
840                 }
841         }
842
843         /*
844          * Force hardware to use computed duration for next
845          * fragment by disabling multi-rate retry, which
846          * updates duration based on the multi-rate duration table.
847          *
848          * FIXME: Fix duration
849          */
850         if (ieee80211_has_morefrags(fc) ||
851             (le16_to_cpu(hdr->seq_ctrl) & IEEE80211_SCTL_FRAG)) {
852                 rates[1].count = rates[2].count = rates[3].count = 0;
853                 rates[1].idx = rates[2].idx = rates[3].idx = 0;
854                 rates[0].count = ATH_TXMAXTRY;
855         }
856
857         /* Setup RTS/CTS */
858         ath_rc_rate_set_rtscts(sc, rate_table, tx_info);
859 }
860
861 static bool ath_rc_update_per(struct ath_softc *sc,
862                               const struct ath_rate_table *rate_table,
863                               struct ath_rate_priv *ath_rc_priv,
864                               struct ath_tx_info_priv *tx_info_priv,
865                               int tx_rate, int xretries, int retries,
866                               u32 now_msec)
867 {
868         bool state_change = false;
869         int count;
870         u8 last_per;
871         static u32 nretry_to_per_lookup[10] = {
872                 100 * 0 / 1,
873                 100 * 1 / 4,
874                 100 * 1 / 2,
875                 100 * 3 / 4,
876                 100 * 4 / 5,
877                 100 * 5 / 6,
878                 100 * 6 / 7,
879                 100 * 7 / 8,
880                 100 * 8 / 9,
881                 100 * 9 / 10
882         };
883
884         last_per = ath_rc_priv->per[tx_rate];
885
886         if (xretries) {
887                 if (xretries == 1) {
888                         ath_rc_priv->per[tx_rate] += 30;
889                         if (ath_rc_priv->per[tx_rate] > 100)
890                                 ath_rc_priv->per[tx_rate] = 100;
891                 } else {
892                         /* xretries == 2 */
893                         count = ARRAY_SIZE(nretry_to_per_lookup);
894                         if (retries >= count)
895                                 retries = count - 1;
896
897                         /* new_PER = 7/8*old_PER + 1/8*(currentPER) */
898                         ath_rc_priv->per[tx_rate] =
899                                 (u8)(last_per - (last_per >> 3) + (100 >> 3));
900                 }
901
902                 /* xretries == 1 or 2 */
903
904                 if (ath_rc_priv->probe_rate == tx_rate)
905                         ath_rc_priv->probe_rate = 0;
906
907         } else { /* xretries == 0 */
908                 count = ARRAY_SIZE(nretry_to_per_lookup);
909                 if (retries >= count)
910                         retries = count - 1;
911
912                 if (tx_info_priv->n_bad_frames) {
913                         /* new_PER = 7/8*old_PER + 1/8*(currentPER)
914                          * Assuming that n_frames is not 0.  The current PER
915                          * from the retries is 100 * retries / (retries+1),
916                          * since the first retries attempts failed, and the
917                          * next one worked.  For the one that worked,
918                          * n_bad_frames subframes out of n_frames wored,
919                          * so the PER for that part is
920                          * 100 * n_bad_frames / n_frames, and it contributes
921                          * 100 * n_bad_frames / (n_frames * (retries+1)) to
922                          * the above PER.  The expression below is a
923                          * simplified version of the sum of these two terms.
924                          */
925                         if (tx_info_priv->n_frames > 0) {
926                                 int n_frames, n_bad_frames;
927                                 u8 cur_per, new_per;
928
929                                 n_bad_frames = retries * tx_info_priv->n_frames +
930                                         tx_info_priv->n_bad_frames;
931                                 n_frames = tx_info_priv->n_frames * (retries + 1);
932                                 cur_per = (100 * n_bad_frames / n_frames) >> 3;
933                                 new_per = (u8)(last_per - (last_per >> 3) + cur_per);
934                                 ath_rc_priv->per[tx_rate] = new_per;
935                         }
936                 } else {
937                         ath_rc_priv->per[tx_rate] =
938                                 (u8)(last_per - (last_per >> 3) +
939                                      (nretry_to_per_lookup[retries] >> 3));
940                 }
941
942
943                 /*
944                  * If we got at most one retry then increase the max rate if
945                  * this was a probe.  Otherwise, ignore the probe.
946                  */
947                 if (ath_rc_priv->probe_rate && ath_rc_priv->probe_rate == tx_rate) {
948                         if (retries > 0 || 2 * tx_info_priv->n_bad_frames >
949                                 tx_info_priv->n_frames) {
950                                 /*
951                                  * Since we probed with just a single attempt,
952                                  * any retries means the probe failed.  Also,
953                                  * if the attempt worked, but more than half
954                                  * the subframes were bad then also consider
955                                  * the probe a failure.
956                                  */
957                                 ath_rc_priv->probe_rate = 0;
958                         } else {
959                                 u8 probe_rate = 0;
960
961                                 ath_rc_priv->rate_max_phy =
962                                         ath_rc_priv->probe_rate;
963                                 probe_rate = ath_rc_priv->probe_rate;
964
965                                 if (ath_rc_priv->per[probe_rate] > 30)
966                                         ath_rc_priv->per[probe_rate] = 20;
967
968                                 ath_rc_priv->probe_rate = 0;
969
970                                 /*
971                                  * Since this probe succeeded, we allow the next
972                                  * probe twice as soon.  This allows the maxRate
973                                  * to move up faster if the probes are
974                                  * succesful.
975                                  */
976                                 ath_rc_priv->probe_time =
977                                         now_msec - rate_table->probe_interval / 2;
978                         }
979                 }
980
981                 if (retries > 0) {
982                         /*
983                          * Don't update anything.  We don't know if
984                          * this was because of collisions or poor signal.
985                          */
986                         ath_rc_priv->hw_maxretry_pktcnt = 0;
987                 } else {
988                         /*
989                          * It worked with no retries. First ignore bogus (small)
990                          * rssi_ack values.
991                          */
992                         if (tx_rate == ath_rc_priv->rate_max_phy &&
993                             ath_rc_priv->hw_maxretry_pktcnt < 255) {
994                                 ath_rc_priv->hw_maxretry_pktcnt++;
995                         }
996
997                 }
998         }
999
1000         return state_change;
1001 }
1002
1003 /* Update PER, RSSI and whatever else that the code thinks it is doing.
1004    If you can make sense of all this, you really need to go out more. */
1005
1006 static void ath_rc_update_ht(struct ath_softc *sc,
1007                              struct ath_rate_priv *ath_rc_priv,
1008                              struct ath_tx_info_priv *tx_info_priv,
1009                              int tx_rate, int xretries, int retries)
1010 {
1011         u32 now_msec = jiffies_to_msecs(jiffies);
1012         int rate;
1013         u8 last_per;
1014         bool state_change = false;
1015         const struct ath_rate_table *rate_table = sc->cur_rate_table;
1016         int size = ath_rc_priv->rate_table_size;
1017
1018         if ((tx_rate < 0) || (tx_rate > rate_table->rate_cnt))
1019                 return;
1020
1021         last_per = ath_rc_priv->per[tx_rate];
1022
1023         /* Update PER first */
1024         state_change = ath_rc_update_per(sc, rate_table, ath_rc_priv,
1025                                          tx_info_priv, tx_rate, xretries,
1026                                          retries, now_msec);
1027
1028         /*
1029          * If this rate looks bad (high PER) then stop using it for
1030          * a while (except if we are probing).
1031          */
1032         if (ath_rc_priv->per[tx_rate] >= 55 && tx_rate > 0 &&
1033             rate_table->info[tx_rate].ratekbps <=
1034             rate_table->info[ath_rc_priv->rate_max_phy].ratekbps) {
1035                 ath_rc_get_lower_rix(rate_table, ath_rc_priv,
1036                                      (u8)tx_rate, &ath_rc_priv->rate_max_phy);
1037
1038                 /* Don't probe for a little while. */
1039                 ath_rc_priv->probe_time = now_msec;
1040         }
1041
1042         /* Make sure the rates below this have lower PER */
1043         /* Monotonicity is kept only for rates below the current rate. */
1044         if (ath_rc_priv->per[tx_rate] < last_per) {
1045                 for (rate = tx_rate - 1; rate >= 0; rate--) {
1046                         if (rate_table->info[rate].phy !=
1047                             rate_table->info[tx_rate].phy)
1048                                 break;
1049
1050                         if (ath_rc_priv->per[rate] >
1051                             ath_rc_priv->per[rate+1]) {
1052                                 ath_rc_priv->per[rate] =
1053                                         ath_rc_priv->per[rate+1];
1054                         }
1055                 }
1056         }
1057
1058         /* Maintain monotonicity for rates above the current rate */
1059         for (rate = tx_rate; rate < size - 1; rate++) {
1060                 if (ath_rc_priv->per[rate+1] <
1061                     ath_rc_priv->per[rate])
1062                         ath_rc_priv->per[rate+1] =
1063                                 ath_rc_priv->per[rate];
1064         }
1065
1066         /* Every so often, we reduce the thresholds
1067          * and PER (different for CCK and OFDM). */
1068         if (now_msec - ath_rc_priv->per_down_time >=
1069             rate_table->probe_interval) {
1070                 for (rate = 0; rate < size; rate++) {
1071                         ath_rc_priv->per[rate] =
1072                                 7 * ath_rc_priv->per[rate] / 8;
1073                 }
1074
1075                 ath_rc_priv->per_down_time = now_msec;
1076         }
1077
1078         ath_debug_stat_retries(sc, tx_rate, xretries, retries,
1079                                ath_rc_priv->per[tx_rate]);
1080
1081 }
1082
1083 static int ath_rc_get_rateindex(const struct ath_rate_table *rate_table,
1084                                 struct ieee80211_tx_rate *rate)
1085 {
1086         int rix;
1087
1088         if ((rate->flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1089             (rate->flags & IEEE80211_TX_RC_SHORT_GI))
1090                 rix = rate_table->info[rate->idx].ht_index;
1091         else if (rate->flags & IEEE80211_TX_RC_SHORT_GI)
1092                 rix = rate_table->info[rate->idx].sgi_index;
1093         else if (rate->flags & IEEE80211_TX_RC_40_MHZ_WIDTH)
1094                 rix = rate_table->info[rate->idx].cw40index;
1095         else
1096                 rix = rate_table->info[rate->idx].base_index;
1097
1098         return rix;
1099 }
1100
1101 static void ath_rc_tx_status(struct ath_softc *sc,
1102                              struct ath_rate_priv *ath_rc_priv,
1103                              struct ieee80211_tx_info *tx_info,
1104                              int final_ts_idx, int xretries, int long_retry)
1105 {
1106         struct ath_tx_info_priv *tx_info_priv = ATH_TX_INFO_PRIV(tx_info);
1107         const struct ath_rate_table *rate_table;
1108         struct ieee80211_tx_rate *rates = tx_info->status.rates;
1109         u8 flags;
1110         u32 i = 0, rix;
1111
1112         rate_table = sc->cur_rate_table;
1113
1114         /*
1115          * If the first rate is not the final index, there
1116          * are intermediate rate failures to be processed.
1117          */
1118         if (final_ts_idx != 0) {
1119                 /* Process intermediate rates that failed.*/
1120                 for (i = 0; i < final_ts_idx ; i++) {
1121                         if (rates[i].count != 0 && (rates[i].idx >= 0)) {
1122                                 flags = rates[i].flags;
1123
1124                                 /* If HT40 and we have switched mode from
1125                                  * 40 to 20 => don't update */
1126
1127                                 if ((flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1128                                     !(ath_rc_priv->ht_cap & WLAN_RC_40_FLAG))
1129                                         return;
1130
1131                                 rix = ath_rc_get_rateindex(rate_table, &rates[i]);
1132                                 ath_rc_update_ht(sc, ath_rc_priv,
1133                                                 tx_info_priv, rix,
1134                                                 xretries ? 1 : 2,
1135                                                 rates[i].count);
1136                         }
1137                 }
1138         } else {
1139                 /*
1140                  * Handle the special case of MIMO PS burst, where the second
1141                  * aggregate is sent out with only one rate and one try.
1142                  * Treating it as an excessive retry penalizes the rate
1143                  * inordinately.
1144                  */
1145                 if (rates[0].count == 1 && xretries == 1)
1146                         xretries = 2;
1147         }
1148
1149         flags = rates[i].flags;
1150
1151         /* If HT40 and we have switched mode from 40 to 20 => don't update */
1152         if ((flags & IEEE80211_TX_RC_40_MHZ_WIDTH) &&
1153             !(ath_rc_priv->ht_cap & WLAN_RC_40_FLAG))
1154                 return;
1155
1156         rix = ath_rc_get_rateindex(rate_table, &rates[i]);
1157         ath_rc_update_ht(sc, ath_rc_priv, tx_info_priv, rix,
1158                          xretries, long_retry);
1159 }
1160
1161 static const
1162 struct ath_rate_table *ath_choose_rate_table(struct ath_softc *sc,
1163                                              enum ieee80211_band band,
1164                                              bool is_ht,
1165                                              bool is_cw_40)
1166 {
1167         int mode = 0;
1168
1169         switch(band) {
1170         case IEEE80211_BAND_2GHZ:
1171                 mode = ATH9K_MODE_11G;
1172                 if (is_ht)
1173                         mode = ATH9K_MODE_11NG_HT20;
1174                 if (is_cw_40)
1175                         mode = ATH9K_MODE_11NG_HT40PLUS;
1176                 break;
1177         case IEEE80211_BAND_5GHZ:
1178                 mode = ATH9K_MODE_11A;
1179                 if (is_ht)
1180                         mode = ATH9K_MODE_11NA_HT20;
1181                 if (is_cw_40)
1182                         mode = ATH9K_MODE_11NA_HT40PLUS;
1183                 break;
1184         default:
1185                 DPRINTF(sc, ATH_DBG_CONFIG, "Invalid band\n");
1186                 return NULL;
1187         }
1188
1189         BUG_ON(mode >= ATH9K_MODE_MAX);
1190
1191         DPRINTF(sc, ATH_DBG_CONFIG, "Choosing rate table for mode: %d\n", mode);
1192         return sc->hw_rate_table[mode];
1193 }
1194
1195 static void ath_rc_init(struct ath_softc *sc,
1196                         struct ath_rate_priv *ath_rc_priv,
1197                         struct ieee80211_supported_band *sband,
1198                         struct ieee80211_sta *sta,
1199                         const struct ath_rate_table *rate_table)
1200 {
1201         struct ath_rateset *rateset = &ath_rc_priv->neg_rates;
1202         u8 *ht_mcs = (u8 *)&ath_rc_priv->neg_ht_rates;
1203         u8 i, j, k, hi = 0, hthi = 0;
1204
1205         if (!rate_table) {
1206                 DPRINTF(sc, ATH_DBG_FATAL, "Rate table not initialized\n");
1207                 return;
1208         }
1209
1210         /* Initial rate table size. Will change depending
1211          * on the working rate set */
1212         ath_rc_priv->rate_table_size = RATE_TABLE_SIZE;
1213
1214         /* Initialize thresholds according to the global rate table */
1215         for (i = 0 ; i < ath_rc_priv->rate_table_size; i++) {
1216                 ath_rc_priv->per[i] = 0;
1217         }
1218
1219         /* Determine the valid rates */
1220         ath_rc_init_valid_txmask(ath_rc_priv);
1221
1222         for (i = 0; i < WLAN_RC_PHY_MAX; i++) {
1223                 for (j = 0; j < MAX_TX_RATE_PHY; j++)
1224                         ath_rc_priv->valid_phy_rateidx[i][j] = 0;
1225                 ath_rc_priv->valid_phy_ratecnt[i] = 0;
1226         }
1227
1228         if (!rateset->rs_nrates) {
1229                 /* No working rate, just initialize valid rates */
1230                 hi = ath_rc_init_validrates(ath_rc_priv, rate_table,
1231                                             ath_rc_priv->ht_cap);
1232         } else {
1233                 /* Use intersection of working rates and valid rates */
1234                 hi = ath_rc_setvalid_rates(ath_rc_priv, rate_table,
1235                                            rateset, ath_rc_priv->ht_cap);
1236                 if (ath_rc_priv->ht_cap & WLAN_RC_HT_FLAG) {
1237                         hthi = ath_rc_setvalid_htrates(ath_rc_priv,
1238                                                        rate_table,
1239                                                        ht_mcs,
1240                                                        ath_rc_priv->ht_cap);
1241                 }
1242                 hi = A_MAX(hi, hthi);
1243         }
1244
1245         ath_rc_priv->rate_table_size = hi + 1;
1246         ath_rc_priv->rate_max_phy = 0;
1247         ASSERT(ath_rc_priv->rate_table_size <= RATE_TABLE_SIZE);
1248
1249         for (i = 0, k = 0; i < WLAN_RC_PHY_MAX; i++) {
1250                 for (j = 0; j < ath_rc_priv->valid_phy_ratecnt[i]; j++) {
1251                         ath_rc_priv->valid_rate_index[k++] =
1252                                 ath_rc_priv->valid_phy_rateidx[i][j];
1253                 }
1254
1255                 if (!ath_rc_valid_phyrate(i, rate_table->initial_ratemax, 1)
1256                     || !ath_rc_priv->valid_phy_ratecnt[i])
1257                         continue;
1258
1259                 ath_rc_priv->rate_max_phy = ath_rc_priv->valid_phy_rateidx[i][j-1];
1260         }
1261         ASSERT(ath_rc_priv->rate_table_size <= RATE_TABLE_SIZE);
1262         ASSERT(k <= RATE_TABLE_SIZE);
1263
1264         ath_rc_priv->max_valid_rate = k;
1265         ath_rc_sort_validrates(rate_table, ath_rc_priv);
1266         ath_rc_priv->rate_max_phy = ath_rc_priv->valid_rate_index[k-4];
1267         sc->cur_rate_table = rate_table;
1268
1269         DPRINTF(sc, ATH_DBG_CONFIG, "RC Initialized with capabilities: 0x%x\n",
1270                 ath_rc_priv->ht_cap);
1271 }
1272
1273 static u8 ath_rc_build_ht_caps(struct ath_softc *sc, struct ieee80211_sta *sta,
1274                                bool is_cw40, bool is_sgi40)
1275 {
1276         u8 caps = 0;
1277
1278         if (sta->ht_cap.ht_supported) {
1279                 caps = WLAN_RC_HT_FLAG;
1280                 if (sc->sc_ah->caps.tx_chainmask != 1 &&
1281                     ath9k_hw_getcapability(sc->sc_ah, ATH9K_CAP_DS, 0, NULL)) {
1282                         if (sta->ht_cap.mcs.rx_mask[1])
1283                                 caps |= WLAN_RC_DS_FLAG;
1284                 }
1285                 if (is_cw40)
1286                         caps |= WLAN_RC_40_FLAG;
1287                 if (is_sgi40)
1288                         caps |= WLAN_RC_SGI_FLAG;
1289         }
1290
1291         return caps;
1292 }
1293
1294 /***********************************/
1295 /* mac80211 Rate Control callbacks */
1296 /***********************************/
1297
1298 static void ath_tx_status(void *priv, struct ieee80211_supported_band *sband,
1299                           struct ieee80211_sta *sta, void *priv_sta,
1300                           struct sk_buff *skb)
1301 {
1302         struct ath_softc *sc = priv;
1303         struct ath_rate_priv *ath_rc_priv = priv_sta;
1304         struct ath_tx_info_priv *tx_info_priv = NULL;
1305         struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
1306         struct ieee80211_hdr *hdr;
1307         int final_ts_idx, tx_status = 0, is_underrun = 0;
1308         __le16 fc;
1309
1310         hdr = (struct ieee80211_hdr *)skb->data;
1311         fc = hdr->frame_control;
1312         tx_info_priv = ATH_TX_INFO_PRIV(tx_info);
1313         final_ts_idx = tx_info_priv->tx.ts_rateindex;
1314
1315         if (!priv_sta || !ieee80211_is_data(fc) ||
1316             !tx_info_priv->update_rc)
1317                 goto exit;
1318
1319         if (tx_info_priv->tx.ts_status & ATH9K_TXERR_FILT)
1320                 goto exit;
1321
1322         /*
1323          * If underrun error is seen assume it as an excessive retry only
1324          * if prefetch trigger level have reached the max (0x3f for 5416)
1325          * Adjust the long retry as if the frame was tried hw->max_rate_tries
1326          * times. This affects how ratectrl updates PER for the failed rate.
1327          */
1328         if (tx_info_priv->tx.ts_flags &
1329             (ATH9K_TX_DATA_UNDERRUN | ATH9K_TX_DELIM_UNDERRUN) &&
1330             ((sc->sc_ah->tx_trig_level) >= ath_rc_priv->tx_triglevel_max)) {
1331                 tx_status = 1;
1332                 is_underrun = 1;
1333         }
1334
1335         if ((tx_info_priv->tx.ts_status & ATH9K_TXERR_XRETRY) ||
1336             (tx_info_priv->tx.ts_status & ATH9K_TXERR_FIFO))
1337                 tx_status = 1;
1338
1339         ath_rc_tx_status(sc, ath_rc_priv, tx_info, final_ts_idx, tx_status,
1340                          (is_underrun) ? sc->hw->max_rate_tries :
1341                          tx_info_priv->tx.ts_longretry);
1342
1343         /* Check if aggregation has to be enabled for this tid */
1344         if (conf_is_ht(&sc->hw->conf) &&
1345             !(skb->protocol == cpu_to_be16(ETH_P_PAE))) {
1346                 if (ieee80211_is_data_qos(fc)) {
1347                         u8 *qc, tid;
1348                         struct ath_node *an;
1349
1350                         qc = ieee80211_get_qos_ctl(hdr);
1351                         tid = qc[0] & 0xf;
1352                         an = (struct ath_node *)sta->drv_priv;
1353
1354                         if(ath_tx_aggr_check(sc, an, tid))
1355                                 ieee80211_start_tx_ba_session(sc->hw, hdr->addr1, tid);
1356                 }
1357         }
1358
1359         ath_debug_stat_rc(sc, skb);
1360 exit:
1361         kfree(tx_info_priv);
1362 }
1363
1364 static void ath_rate_init(void *priv, struct ieee80211_supported_band *sband,
1365                           struct ieee80211_sta *sta, void *priv_sta)
1366 {
1367         struct ath_softc *sc = priv;
1368         struct ath_rate_priv *ath_rc_priv = priv_sta;
1369         const struct ath_rate_table *rate_table = NULL;
1370         bool is_cw40, is_sgi40;
1371         int i, j = 0;
1372
1373         for (i = 0; i < sband->n_bitrates; i++) {
1374                 if (sta->supp_rates[sband->band] & BIT(i)) {
1375                         ath_rc_priv->neg_rates.rs_rates[j]
1376                                 = (sband->bitrates[i].bitrate * 2) / 10;
1377                         j++;
1378                 }
1379         }
1380         ath_rc_priv->neg_rates.rs_nrates = j;
1381
1382         if (sta->ht_cap.ht_supported) {
1383                 for (i = 0, j = 0; i < 77; i++) {
1384                         if (sta->ht_cap.mcs.rx_mask[i/8] & (1<<(i%8)))
1385                                 ath_rc_priv->neg_ht_rates.rs_rates[j++] = i;
1386                         if (j == ATH_RATE_MAX)
1387                                 break;
1388                 }
1389                 ath_rc_priv->neg_ht_rates.rs_nrates = j;
1390         }
1391
1392         is_cw40 = sta->ht_cap.cap & IEEE80211_HT_CAP_SUP_WIDTH_20_40;
1393         is_sgi40 = sta->ht_cap.cap & IEEE80211_HT_CAP_SGI_40;
1394
1395         /* Choose rate table first */
1396
1397         if ((sc->sc_ah->opmode == NL80211_IFTYPE_STATION) ||
1398             (sc->sc_ah->opmode == NL80211_IFTYPE_MESH_POINT) ||
1399             (sc->sc_ah->opmode == NL80211_IFTYPE_ADHOC)) {
1400                 rate_table = ath_choose_rate_table(sc, sband->band,
1401                                                    sta->ht_cap.ht_supported,
1402                                                    is_cw40);
1403         } else if (sc->sc_ah->opmode == NL80211_IFTYPE_AP) {
1404                 /* cur_rate_table would be set on init through config() */
1405                 rate_table = sc->cur_rate_table;
1406         }
1407
1408         ath_rc_priv->ht_cap = ath_rc_build_ht_caps(sc, sta, is_cw40, is_sgi40);
1409         ath_rc_init(sc, priv_sta, sband, sta, rate_table);
1410 }
1411
1412 static void ath_rate_update(void *priv, struct ieee80211_supported_band *sband,
1413                             struct ieee80211_sta *sta, void *priv_sta,
1414                             u32 changed)
1415 {
1416         struct ath_softc *sc = priv;
1417         struct ath_rate_priv *ath_rc_priv = priv_sta;
1418         const struct ath_rate_table *rate_table = NULL;
1419         bool oper_cw40 = false, oper_sgi40;
1420         bool local_cw40 = (ath_rc_priv->ht_cap & WLAN_RC_40_FLAG) ?
1421                 true : false;
1422         bool local_sgi40 = (ath_rc_priv->ht_cap & WLAN_RC_SGI_FLAG) ?
1423                 true : false;
1424
1425         /* FIXME: Handle AP mode later when we support CWM */
1426
1427         if (changed & IEEE80211_RC_HT_CHANGED) {
1428                 if (sc->sc_ah->opmode != NL80211_IFTYPE_STATION)
1429                         return;
1430
1431                 if (sc->hw->conf.channel_type == NL80211_CHAN_HT40MINUS ||
1432                     sc->hw->conf.channel_type == NL80211_CHAN_HT40PLUS)
1433                         oper_cw40 = true;
1434
1435                 oper_sgi40 = (sta->ht_cap.cap & IEEE80211_HT_CAP_SGI_40) ?
1436                         true : false;
1437
1438                 if ((local_cw40 != oper_cw40) || (local_sgi40 != oper_sgi40)) {
1439                         rate_table = ath_choose_rate_table(sc, sband->band,
1440                                                    sta->ht_cap.ht_supported,
1441                                                    oper_cw40);
1442                         ath_rc_priv->ht_cap = ath_rc_build_ht_caps(sc, sta,
1443                                                    oper_cw40, oper_sgi40);
1444                         ath_rc_init(sc, priv_sta, sband, sta, rate_table);
1445
1446                         DPRINTF(sc, ATH_DBG_CONFIG,
1447                                 "Operating HT Bandwidth changed to: %d\n",
1448                                 sc->hw->conf.channel_type);
1449                 }
1450         }
1451 }
1452
1453 static void *ath_rate_alloc(struct ieee80211_hw *hw, struct dentry *debugfsdir)
1454 {
1455         struct ath_wiphy *aphy = hw->priv;
1456         return aphy->sc;
1457 }
1458
1459 static void ath_rate_free(void *priv)
1460 {
1461         return;
1462 }
1463
1464 static void *ath_rate_alloc_sta(void *priv, struct ieee80211_sta *sta, gfp_t gfp)
1465 {
1466         struct ath_softc *sc = priv;
1467         struct ath_rate_priv *rate_priv;
1468
1469         rate_priv = kzalloc(sizeof(struct ath_rate_priv), gfp);
1470         if (!rate_priv) {
1471                 DPRINTF(sc, ATH_DBG_FATAL,
1472                         "Unable to allocate private rc structure\n");
1473                 return NULL;
1474         }
1475
1476         rate_priv->tx_triglevel_max = sc->sc_ah->caps.tx_triglevel_max;
1477
1478         return rate_priv;
1479 }
1480
1481 static void ath_rate_free_sta(void *priv, struct ieee80211_sta *sta,
1482                               void *priv_sta)
1483 {
1484         struct ath_rate_priv *rate_priv = priv_sta;
1485         kfree(rate_priv);
1486 }
1487
1488 static struct rate_control_ops ath_rate_ops = {
1489         .module = NULL,
1490         .name = "ath9k_rate_control",
1491         .tx_status = ath_tx_status,
1492         .get_rate = ath_get_rate,
1493         .rate_init = ath_rate_init,
1494         .rate_update = ath_rate_update,
1495         .alloc = ath_rate_alloc,
1496         .free = ath_rate_free,
1497         .alloc_sta = ath_rate_alloc_sta,
1498         .free_sta = ath_rate_free_sta,
1499 };
1500
1501 void ath_rate_attach(struct ath_softc *sc)
1502 {
1503         sc->hw_rate_table[ATH9K_MODE_11A] =
1504                 &ar5416_11a_ratetable;
1505         sc->hw_rate_table[ATH9K_MODE_11G] =
1506                 &ar5416_11g_ratetable;
1507         sc->hw_rate_table[ATH9K_MODE_11NA_HT20] =
1508                 &ar5416_11na_ratetable;
1509         sc->hw_rate_table[ATH9K_MODE_11NG_HT20] =
1510                 &ar5416_11ng_ratetable;
1511         sc->hw_rate_table[ATH9K_MODE_11NA_HT40PLUS] =
1512                 &ar5416_11na_ratetable;
1513         sc->hw_rate_table[ATH9K_MODE_11NA_HT40MINUS] =
1514                 &ar5416_11na_ratetable;
1515         sc->hw_rate_table[ATH9K_MODE_11NG_HT40PLUS] =
1516                 &ar5416_11ng_ratetable;
1517         sc->hw_rate_table[ATH9K_MODE_11NG_HT40MINUS] =
1518                 &ar5416_11ng_ratetable;
1519 }
1520
1521 int ath_rate_control_register(void)
1522 {
1523         return ieee80211_rate_control_register(&ath_rate_ops);
1524 }
1525
1526 void ath_rate_control_unregister(void)
1527 {
1528         ieee80211_rate_control_unregister(&ath_rate_ops);
1529 }